advanced metering infrastructure |
2 |
cyberattacks |
2 |
cybersecurity |
2 |
net metering |
2 |
partially observable markov decision process |
2 |
renewable energy |
2 |
smart home |
2 |
smart home scheduling |
2 |
3-d multiprocessor system-on-chip (mpsoc) systems |
1 |
3d processors |
1 |
acs |
1 |
adaptive dynamic programming |
1 |
adaptive model updating |
1 |
adaptive savitzky-golay filter |
1 |
adaptive secondary frequency control |
1 |
adjoint sensitivity analysis |
1 |
advanced metering infrastructure (ami) |
1 |
advantage actor-critic |
1 |
adversarial defense |
1 |
affinity-driven modeling |
1 |
aggregated anomaly rate range |
1 |
airport throughput |
1 |
allocation and scheduling |
1 |
and false data injection |
1 |
and phrases: signal compression |
1 |
anomaly detection |
1 |
anonymous authentication |
1 |
ant colony system |
1 |
application |
1 |
approximate computation |
1 |
approximate real-time computation |
1 |
approximation |
1 |
approximation algorithm |
1 |
approximation ratio |
1 |
architecture |
1 |
artificial intelligence internet of things (aiot) |
1 |
attack v.s. defense framework |
1 |
augmented cross-entropy (ace) |
1 |
augmented lagrange function |
1 |
automotive cyber-physical systems |
1 |
automotive design automation |
1 |
automotive engineering |
1 |
autonomous system |
1 |
bayesian inference |
1 |
behavioral sciences |
1 |
bidding strategy |
1 |
big data |
1 |
binary logistic regression |
1 |
biochip |
1 |
blockchain |
1 |
body sensor network |
1 |
boundary pattern |
1 |
branchynet |
1 |
buffer circuits |
1 |
buffer insertion |
1 |
bundled swcnts |
1 |
can fd |
1 |
carbon nanotube |
1 |
carbon nanotubes |
1 |
carbon nanotubes (cnts) |
1 |
carbon-nanotube field effect transistor (cnfet) circuit |
1 |
cascading |
1 |
cdvariation |
1 |
central processing unit |
1 |
centralised-to-decentralised framework |
1 |
charging load |
1 |
circuit layout fingerprinting |
1 |
circuit security |
1 |
city level smart home scheduling |
1 |
clock signal tuning |
1 |
cloud computing |
1 |
cloud service |
1 |
cnt |
1 |
co-scheduling |
1 |
co-verification |
1 |
cointegration analysis (ca) |
1 |
collaborative architecture |
1 |
combinatorial optimization |
1 |
community level smart home scheduling |
1 |
compressed sensing |
1 |
computational geometry |
1 |
computational modeling |
1 |
computational system biology |
1 |
constant delay model |
1 |
constrained nonlinear optimization |
1 |
contamination |
1 |
contingency response |
1 |
continuous state partially observable markov decision process (pomdp) |
1 |
control plane deployment |
1 |
controller area network with flexible data-rate (can fd) |
1 |
convolutional neural network |
1 |
convolutional siamese network |
1 |
coordinated cyberattacks |
1 |
cost |
1 |
cost minimization |
1 |
costs |
1 |
cpu-gpu mpsocs |
1 |
cross entropy |
1 |
cross entropy optimization |
1 |
cross entropy state sampling |
1 |
cross-entropy |
1 |
cross-entropy optimization |
1 |
customer perceived value |
1 |
cyber-physical model |
1 |
cyber-physical power system |
1 |
cyber-physical sys-tem |
1 |
cyber-physical system |
1 |
cyber-physical system (cps) |
1 |
cyber-physical system security |
1 |
cyber-physical systems |
1 |
cyber-physical systems (cps) |
1 |
cyber-physical systems (cpss) |
1 |
cyberattack |
1 |
cybersecu-rity |
1 |
cyber–physical systems |
1 |
data manipulation attack |
1 |
data plane deployment |
1 |
datacenter clouds |
1 |
decoupling capacitor |
1 |
decoupling capacitor budgeting |
1 |
deep learning |
1 |
deep neural network (dnn) |
1 |
deep neural networks |
1 |
defect |
1 |
delay aware risk assessment |
1 |
delay optimization |
1 |
delayed feedback reservoir |
1 |
deloading method |
1 |
deloading torque method |
1 |
demand response |
1 |
demand-side management |
1 |
denial-of-service attack |
1 |
dependability |
1 |
design automation |
1 |
design automation for smart grid system |
1 |
development environment |
1 |
device performances |
1 |
dictionary learning |
1 |
differential evolution |
1 |
differential line |
1 |
digital microfluidic biochips |
1 |
digital protective relay |
1 |
digital twinning |
1 |
discrete curvature estimation |
1 |
discrete gate sizing |
1 |
discretization |
1 |
distributed control |
1 |
distributed data sets |
1 |
distributed denial of service attack |
1 |
distributed generation |
1 |
distributed generator insertion |
1 |
distributed solid electricity thermal storage |
1 |
distribution system planning |
1 |
document image |
1 |
domestic environments |
1 |
dynamic hidden markov model |
1 |
dynamic pathway modelling |
1 |
dynamic pricing |
1 |
dynamic pricing model |
1 |
dynamic programming |
1 |
dynamic programming (dp) |
1 |
dynamic scheduling |
1 |
ecg denoising |
1 |
ecg sensor node |
1 |
edge computing |
1 |
edge server placement |
1 |
edge-cloud computing |
1 |
efficiency |
1 |
electric vehicle |
1 |
electric vehicle (ev) |
1 |
electricity market |
1 |
electricity pricing manipulation |
1 |
electricity supply industry |
1 |
electricity-pricing manipulation |
1 |
electromigration |
1 |
electron beam lithography |
1 |
electronic commerce |
1 |
electronic healthcare |
1 |
embedded systems |
1 |
empirical mode decomposition |
1 |
energy |
1 |
energy allocation |
1 |
energy balancing |
1 |
energy concentration |
1 |
energy consumption |
1 |
energy consumption balancing |
1 |
energy efficiency |
1 |
energy efficient |
1 |
energy harvesting |
1 |
energy management |
1 |
energy theft |
1 |
energy-aware computing |
1 |
error detection and recovery |
1 |
estimation |
1 |
ethercat |
1 |
evolutionary algorithm |
1 |
facility dispersion |
1 |
fairness |
1 |
false data injection attack |
1 |
false data injection attacks |
1 |
fault detection |
1 |
fault tolerance |
1 |
federated learning |
1 |
federated learning (fl) |
1 |
federated reinforcement learning |
1 |
feedback control scheme |
1 |
floorplanning |
1 |
fog computing |
1 |
forensic service |
1 |
formal specification |
1 |
formal verification |
1 |
fourier series belief state approximation |
1 |
fourier transform |
1 |
fpga implementation |
1 |
frequency disturbance |
1 |
frequency regulation |
1 |
frtu |
1 |
fully polynomial time approximation scheme |
1 |
fully polynomial-time approximation scheme (fptas) |
1 |
fuzzy dominance sort |
1 |
gain scheduled compensation |
1 |
game theoretic algorithm |
1 |
game theory |
1 |
games |
1 |
gate duplication |
1 |
gate sizing |
1 |
gaussian window |
1 |
generative adversarial network (gan) |
1 |
genetic algorithms |
1 |
geometric invariance |
1 |
geometric maximum k-star |
1 |
global bus |
1 |
graphics processing units |
1 |
green computing |
1 |
hardware security |
1 |
hardware security module |
1 |
harmonic elimination |
1 |
harmonics analysis |
1 |
heft |
1 |
heterogeneous differential privacy |
1 |
heuristic algorithms |
1 |
hierarchical architecture |
1 |
hierarchical control architecture |
1 |
hierarchical optimization |
1 |
high-speed high-performance interconnect |
1 |
human-robot interaction |
1 |
hybrid sdn |
1 |
identification of the critical vulnerability |
1 |
ill-conditioned polynomial |
1 |
image data |
1 |
image watermarking |
1 |
importance sampling |
1 |
improved s-transform |
1 |
in-situ (ins) server |
1 |
incentive |
1 |
inclusion region |
1 |
inductive effects |
1 |
industrial application |
1 |
industrial cyber-physical systems (icpss) |
1 |
industrial internet of things (iiot) |
1 |
inference accuracy |
1 |
information validation |
1 |
input slew |
1 |
integer linear programming |
1 |
integrated circuit interconnections |
1 |
integrated circuits |
1 |
intention |
1 |
interconnect |
1 |
interconnect optimization |
1 |
interconnect performances |
1 |
interconnect reliability |
1 |
interconnect synthesis |
1 |
interference elimination |
1 |
internet of things |
1 |
internet of things (iot) |
1 |
internet of things (iot) networks |
1 |
internet of vehicles |
1 |
internet-of-things |
1 |
interwell connectivity |
1 |
ip protection |
1 |
k-star |
1 |
kaiser window |
1 |
key-dependant decomposition |
1 |
key-dependent triangulation |
1 |
ks-transform |
1 |
lab-on-a-chip design automation |
1 |
latency |
1 |
layer assignment |
1 |
leakage power |
1 |
lifetime reliability |
1 |
lifetime reliability (ltr) |
1 |
lightweight |
1 |
linear time approximation scheme |
1 |
load modeling |
1 |
local smoothness |
1 |
logic signal tuning |
1 |
lorenz chaotic system |
1 |
low distortion |
1 |
machine learning |
1 |
machine learning modeling attack |
1 |
makespan |
1 |
malware propagation |
1 |
manufacturability |
1 |
markov chain |
1 |
markov decision process |
1 |
markov processes |
1 |
matrix factorization |
1 |
max-min length k-set triangulation |
1 |
max-min length triangulation |
1 |
maximum weight triangulation |
1 |
measurement data recovery |
1 |
mec |
1 |
memory management |
1 |
methodologies and domains |
1 |
microfluidics |
1 |
microgrid |
1 |
minimum disk cover |
1 |
minimum weight disk cover |
1 |
minimum weight triangulation |
1 |
missing data analysis |
1 |
missing data imputation |
1 |
mixture transition distribution |
1 |
mobile cyber-physical systems |
1 |
mobile edge-cloud computing |
1 |
mobility |
1 |
model mismatch |
1 |
model reference adaptive search (mras) |
1 |
monetary cost minimization |
1 |
monetary cost reduction |
1 |
monte carlo method |
1 |
motion energy harvesting |
1 |
multi-agent system |
1 |
multi-column cell system |
1 |
multi-objective optimization |
1 |
multi-tenant data center |
1 |
multicore real-time systems |
1 |
multimode process modeling |
1 |
multiobjective optimization |
1 |
multiple adaptive model predictive controllers |
1 |
multiprocessor soc |
1 |
multiprocessor socs |
1 |
multiprocessor system |
1 |
multiscale optimization |
1 |
multiserver |
1 |
multiserver configuration |
1 |
network lifetime optimization |
1 |
networked control systems |
1 |
neural network |
1 |
non-fixed input slew |
1 |
non-tree |
1 |
nonstationary |
1 |
np-complete |
1 |
obfuscated priority assignment |
1 |
object tracking |
1 |
offshore oil leak |
1 |
one-sided β-skeleton |
1 |
online smooth training |
1 |
optimization |
1 |
optimization strategy |
1 |
optimizations |
1 |
oracle |
1 |
outlier detection |
1 |
overlapping aware stencil planning |
1 |
parallel automotive application |
1 |
parallel computing |
1 |
parameter estimation |
1 |
parameterized cell |
1 |
partially observable markov decision process (pomdp) |
1 |
peak power |
1 |
peak power minimization |
1 |
peak temperature |
1 |
performance and power modeling |
1 |
personalized digital healthcare |
1 |
personalized iot deployment |
1 |
pervasive computing |
1 |
petroleum cyber-physical system (cps) |
1 |
petroleum production |
1 |
petroleum system |
1 |
photovoltaic system |
1 |
physical activity recognition |
1 |
physical design |
1 |
physical synthesis |
1 |
physical unclonable functions (pufs) |
1 |
physical-level synthesis |
1 |
physically unclonable function |
1 |
placement |
1 |
planning |
1 |
pmsg-based wind turbine |
1 |
polynomial time approximation scheme |
1 |
post-silicon tuning |
1 |
power distribution network expansion |
1 |
power grid design |
1 |
power overloading |
1 |
power quality |
1 |
power quality disturbance |
1 |
power supply noise |
1 |
power system state estimation |
1 |
prediction |
1 |
preventive maintenance |
1 |
pricing |
1 |
pricing scheme |
1 |
privacy |
1 |
privacy-utility tradeoff |
1 |
probabilistic detection |
1 |
process monitoring |
1 |
processing element (pe) |
1 |
procurement supply chain (psc) |
1 |
profit maximization |
1 |
programmed pulse-width modulation |
1 |
pruning |
1 |
public auditing |
1 |
pulse-width modulation |
1 |
qoe |
1 |
quality of security |
1 |
quality of service |
1 |
quality-of-service (qos) |
1 |
quality-of-service (qos) maximization |
1 |
quantum-inspired |
1 |
quantum-inspired evolutionary algorithm |
1 |
real-time |
1 |
real-time applications |
1 |
real-time embedded systems |
1 |
real-time mpsoc |
1 |
real-time mpsoc heterogeneous systems |
1 |
real-time multiprocessor system-on-chip (mpsoc) systems |
1 |
real-time multiprocessor system-on-chips (mpsoc) systems |
1 |
real-time systems |
1 |
recommendation systems |
1 |
recommender systems |
1 |
recurrent neural network |
1 |
redundancy |
1 |
redundant via |
1 |
reinforcement learning |
1 |
relative received signal strength |
1 |
reliability |
1 |
remote sensing |
1 |
resilience |
1 |
resistance heating |
1 |
resource allocation |
1 |
response time minimization |
1 |
reusability |
1 |
rgb-d camera systems |
1 |
risk |
1 |
risk analysis |
1 |
rlc model |
1 |
robust stereo vision |
1 |
robustness |
1 |
routing |
1 |
safety |
1 |
safety-critical application |
1 |
scheduling |
1 |
scheduling algorithms |
1 |
secure partition |
1 |
security |
1 |
security enhancement |
1 |
self-driving cars |
1 |
self-weighted |
1 |
semisupervised learning |
1 |
sensor |
1 |
sensor calibration |
1 |
sequence generative adversarial networks |
1 |
serverless mobile edge computing |
1 |
servers |
1 |
sharp corner/edge recognition |
1 |
signal compression |
1 |
signal transduction |
1 |
simplex homotopic fixed-point algorithm |
1 |
single event detection |
1 |
single user smart home scheduling |
1 |
slew constraint |
1 |
sliding window neural networks |
1 |
smart community |
1 |
smart device security |
1 |
smart home and community |
1 |
smart home cyber-physical system (cps) |
1 |
smart home system |
1 |
smart logistics |
1 |
smart manufacturing |
1 |
social systems |
1 |
soft-error and lifetime reliability |
1 |
soft-error reliability |
1 |
soft-error reliability (ser) |
1 |
software defined networking (sdn) |
1 |
software defined vehicles |
1 |
sparrow optimization algorithm |
1 |
sparse cell library |
1 |
sparse matrices |
1 |
spiking neural networks |
1 |
spoke triangulation |
1 |
sponsor incentive pricing |
1 |
spot market |
1 |
stability analysis of geosystems |
1 |
stackelberg game |
1 |
standard cell library |
1 |
state estimation |
1 |
statistical static timing analysis (ssta) |
1 |
statistical timing optimization |
1 |
steiner network |
1 |
steiner tree construction |
1 |
stochastic buffering |
1 |
stochastic dependent tasks |
1 |
stochastic optimization |
1 |
stochastic programming |
1 |
stochastic programming. |
1 |
stochastic scheduling |
1 |
substation communication network (scn) |
1 |
surplus harmonics |
1 |
sustainability |
1 |
sustainable sdn control planes |
1 |
swarm intelligence |
1 |
synthesis |
1 |
system availability |
1 |
task allocation and scheduling |
1 |
task analysis |
1 |
task assignment |
1 |
task movement vector (tmv) |
1 |
task precedence |
1 |
task scheduling |
1 |
technology scaling |
1 |
temperature |
1 |
temperature variation |
1 |
thermal characteristics |
1 |
thermal storage aggregators |
1 |
thermal-aware |
1 |
threshold signature |
1 |
throughput |
1 |
time efficiency estimation |
1 |
time-frequency analysis |
1 |
timing analysis |
1 |
timing constraint |
1 |
timing driven buffer insertion |
1 |
timing optimization |
1 |
timing-driven placement |
1 |
timing-yield improvement |
1 |
torque compensation control |
1 |
torque control |
1 |
traffic engineering |
1 |
traffic pattern analysis |
1 |
traffic signal control |
1 |
training |
1 |
transcen-dental equations |
1 |
transient analysis |
1 |
triangle inequality |
1 |
triangulation |
1 |
triboelectric |
1 |
trusted anonymous authentication |
1 |
trustworthiness |
1 |
truthful incentives |
1 |
uncertainty |
1 |
uncertainty-aware flight scheduling |
1 |
unidimensional spatial correlation |
1 |
user perceived value |
1 |
variation |
1 |
variation-aware |
1 |
variation-aware design |
1 |
variation-aware placement |
1 |
variational mode decomposition |
1 |
variations |
1 |
vehicle recognition |
1 |
vehicular cyber-physical systems |
1 |
virtual machine |
1 |
virtual machine allocation |
1 |
visual light based indoor localization |
1 |
vlsi cad |
1 |
vlsi circuit computer-aided design |
1 |
vlsi design |
1 |
vm acceptance ratio |
1 |
vm placement |
1 |
voltage island shutdown |
1 |
voltage partitioning |
1 |
vt assignment |
1 |
vulnerability |
1 |
watermarking |
1 |
wearable device |
1 |
wearable internet of things (wiot) |
1 |
well-separated switching instances |
1 |
wind farm |
1 |
wire sizing |
1 |
wireless communication |
1 |
wireless network |
1 |
workflow scheduling |
1 |
workload scheduling |
1 |
ε-approximation |
1 |