File Download

There are no files associated with this item.

  Links for fulltext
     (May Require Subscription)
Supplementary

Article: Variation-aware layer assignment with hierarchical stochastic optimization on a multicore platform

TitleVariation-aware layer assignment with hierarchical stochastic optimization on a multicore platform
Authors
KeywordsLayer assignment
stochastic programming.
variation-aware design
Issue Date2014
Citation
IEEE Transactions on Emerging Topics in Computing, 2014, v. 2, n. 4, p. 488-500 How to Cite?
AbstractAs the very large scale integration (VLSI) technology enters the nanoscale regime, VLSI design is increasingly sensitive to variations on process, voltage, and temperature. Layer assignment technology plays a crucial role in industrial VLSI design flow. However, existing layer assignment approaches have largely ignored these variations, which can lead to significant timing violations. To address this issue, a variation-aware layer assignment approach for cost minimization is proposed in this paper. The proposed layer assignment approach is a single-stage stochastic program that directly controls the timing yield via a single parameter, and it is solved using Monte Carlo simulations and the Latin hypercube sampling technique. A hierarchical design is also adopted to enable the optimization process on a multicore platform. Experiments have been performed on 5000 industrial nets, and the results demonstrate that the proposed approach: 1) can significantly improve the timing yield by 64% in comparison with the nominal design and 2) can reduce the wire cost by 15.7% in comparison with the worst case design.
Persistent Identifierhttp://hdl.handle.net/10722/336133
ISI Accession Number ID

 

DC FieldValueLanguage
dc.contributor.authorChen, Xiaodao-
dc.contributor.authorChen, Dan-
dc.contributor.authorWang, Lizhe-
dc.contributor.authorDeng, Ze-
dc.contributor.authorRanjan, Rajiv-
dc.contributor.authorZomaya, Albert Y.-
dc.contributor.authorHu, Shiyan-
dc.date.accessioned2024-01-15T08:23:46Z-
dc.date.available2024-01-15T08:23:46Z-
dc.date.issued2014-
dc.identifier.citationIEEE Transactions on Emerging Topics in Computing, 2014, v. 2, n. 4, p. 488-500-
dc.identifier.urihttp://hdl.handle.net/10722/336133-
dc.description.abstractAs the very large scale integration (VLSI) technology enters the nanoscale regime, VLSI design is increasingly sensitive to variations on process, voltage, and temperature. Layer assignment technology plays a crucial role in industrial VLSI design flow. However, existing layer assignment approaches have largely ignored these variations, which can lead to significant timing violations. To address this issue, a variation-aware layer assignment approach for cost minimization is proposed in this paper. The proposed layer assignment approach is a single-stage stochastic program that directly controls the timing yield via a single parameter, and it is solved using Monte Carlo simulations and the Latin hypercube sampling technique. A hierarchical design is also adopted to enable the optimization process on a multicore platform. Experiments have been performed on 5000 industrial nets, and the results demonstrate that the proposed approach: 1) can significantly improve the timing yield by 64% in comparison with the nominal design and 2) can reduce the wire cost by 15.7% in comparison with the worst case design.-
dc.languageeng-
dc.relation.ispartofIEEE Transactions on Emerging Topics in Computing-
dc.subjectLayer assignment-
dc.subjectstochastic programming.-
dc.subjectvariation-aware design-
dc.titleVariation-aware layer assignment with hierarchical stochastic optimization on a multicore platform-
dc.typeArticle-
dc.description.naturelink_to_subscribed_fulltext-
dc.identifier.doi10.1109/TETC.2014.2316503-
dc.identifier.scopuseid_2-s2.0-84922290915-
dc.identifier.volume2-
dc.identifier.issue4-
dc.identifier.spage488-
dc.identifier.epage500-
dc.identifier.eissn2168-6750-
dc.identifier.isiWOS:000209844600008-

Export via OAI-PMH Interface in XML Formats


OR


Export to Other Non-XML Formats