File Download

There are no files associated with this item.

  Links for fulltext
     (May Require Subscription)
Supplementary

Conference Paper: Ultra-fast interconnect driven cell cloning for minimizing critical path delay

TitleUltra-fast interconnect driven cell cloning for minimizing critical path delay
Authors
KeywordsGate duplication
Physical synthesis
Timing-driven placement
Issue Date2010
Citation
Proceedings of the International Symposium on Physical Design, 2010, p. 75-82 How to Cite?
AbstractIn a complete physical synthesis flow, optimization transforms, that can improve the timing on critical paths that are already well-optimized by a series of powerful transforms (timing driven placement, buffering and gate sizing) are invaluable. Finding such a transform is quite challenging, to say nothing of efficiency. This work explores innovative cloning (gate duplication) techniques to improve timing-closure in a physical synthesis environment. With a buffer-aware interconnect timing model, new polynomial-time optimal algorithms are proposed for timing-driven cloning, including both finding optimal sink partitions (identifying the fan-outs) for the original and the duplicated gates, as well as physical locations for both gates. In particular, we present an O(m)-time optimal algorithm to minimize the worst slack if the original gate is movable, and an O(m log m) algorithm if the original gate is fixed, where $m$ is the number of fan-outs. To the best of our knowledge, this work is the first one considering the timing-driven cloning problem under a buffer-aware interconnect delay model. For a hundred testcases in 45nm technology node, we demonstrate significant timing improvement due to our cloning techniques as compared to other existing timing-optimization transforms. Extensions to other factors, such as wirelength, FOM and placement obstacles are further discussed. Copyright 2010 ACM.
Persistent Identifierhttp://hdl.handle.net/10722/336082

 

DC FieldValueLanguage
dc.contributor.authorLi, Zhuo-
dc.contributor.authorPapa, David A.-
dc.contributor.authorAlpert, Charles J.-
dc.contributor.authorHu, Shiyan-
dc.contributor.authorShi, Weiping-
dc.contributor.authorSze, Cliff-
dc.contributor.authorZhou, Ying-
dc.date.accessioned2024-01-15T08:23:16Z-
dc.date.available2024-01-15T08:23:16Z-
dc.date.issued2010-
dc.identifier.citationProceedings of the International Symposium on Physical Design, 2010, p. 75-82-
dc.identifier.urihttp://hdl.handle.net/10722/336082-
dc.description.abstractIn a complete physical synthesis flow, optimization transforms, that can improve the timing on critical paths that are already well-optimized by a series of powerful transforms (timing driven placement, buffering and gate sizing) are invaluable. Finding such a transform is quite challenging, to say nothing of efficiency. This work explores innovative cloning (gate duplication) techniques to improve timing-closure in a physical synthesis environment. With a buffer-aware interconnect timing model, new polynomial-time optimal algorithms are proposed for timing-driven cloning, including both finding optimal sink partitions (identifying the fan-outs) for the original and the duplicated gates, as well as physical locations for both gates. In particular, we present an O(m)-time optimal algorithm to minimize the worst slack if the original gate is movable, and an O(m log m) algorithm if the original gate is fixed, where $m$ is the number of fan-outs. To the best of our knowledge, this work is the first one considering the timing-driven cloning problem under a buffer-aware interconnect delay model. For a hundred testcases in 45nm technology node, we demonstrate significant timing improvement due to our cloning techniques as compared to other existing timing-optimization transforms. Extensions to other factors, such as wirelength, FOM and placement obstacles are further discussed. Copyright 2010 ACM.-
dc.languageeng-
dc.relation.ispartofProceedings of the International Symposium on Physical Design-
dc.subjectGate duplication-
dc.subjectPhysical synthesis-
dc.subjectTiming-driven placement-
dc.titleUltra-fast interconnect driven cell cloning for minimizing critical path delay-
dc.typeConference_Paper-
dc.description.naturelink_to_subscribed_fulltext-
dc.identifier.doi10.1145/1735023.1735047-
dc.identifier.scopuseid_2-s2.0-77952286035-
dc.identifier.spage75-
dc.identifier.epage82-

Export via OAI-PMH Interface in XML Formats


OR


Export to Other Non-XML Formats