File Download

There are no files associated with this item.

  Links for fulltext
     (May Require Subscription)
Supplementary

Article: A fast general slew constrained minimum cost buffering algorithm

TitleA fast general slew constrained minimum cost buffering algorithm
Authors
KeywordsBuffer insertion
Interconnect optimization
Non-fixed input slew
Physical design
Slew constraint
Issue Date2009
Citation
Microelectronics Journal, 2009, v. 40, n. 10, p. 1482-1486 How to Cite?
AbstractAs VLSI technology moves to the nanoscale regime, ultra-fast slew buffering techniques considering buffer cost minimization are highly desirable. The existing technique proposed in [S. Hu, C. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, C.-N. Sze, Fast algorithms for slew constrained minimum cost buffering, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 26 (11) (2007) 2009-2022] is able to efficiently perform buffer insertion with a simplified assumption on buffer input slew. However, when handling more general cases without input slew assumptions, it becomes slow despite the significant buffer savings. In this paper, a fast buffering technique is proposed to handle the general slew buffering problem. Instead of building solutions from scratch, the new technique efficiently optimizes buffering solutions obtained with the fixed input slew assumption. Experiments on industrial nets demonstrate that our algorithm is highly efficient. Compared to the commonly used van Ginneken style buffering, up to 49 × speed up is obtained and often 10% buffer area is saved. Compared to the algorithm without input slew assumption proposed in [S. Hu, C. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, C.-N. Sze, Fast algorithms for slew constrained minimum cost buffering, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 26 (11) (2007) 2009-2022], up to 37 × speedup can be obtained with slight sacrifice in solution quality. © 2009 Elsevier Ltd. All rights reserved.
Persistent Identifierhttp://hdl.handle.net/10722/336075
ISSN
2023 Impact Factor: 1.9
ISI Accession Number ID

 

DC FieldValueLanguage
dc.contributor.authorHu, Shiyan-
dc.contributor.authorHu, Jiang-
dc.date.accessioned2024-01-15T08:23:13Z-
dc.date.available2024-01-15T08:23:13Z-
dc.date.issued2009-
dc.identifier.citationMicroelectronics Journal, 2009, v. 40, n. 10, p. 1482-1486-
dc.identifier.issn0026-2692-
dc.identifier.urihttp://hdl.handle.net/10722/336075-
dc.description.abstractAs VLSI technology moves to the nanoscale regime, ultra-fast slew buffering techniques considering buffer cost minimization are highly desirable. The existing technique proposed in [S. Hu, C. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, C.-N. Sze, Fast algorithms for slew constrained minimum cost buffering, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 26 (11) (2007) 2009-2022] is able to efficiently perform buffer insertion with a simplified assumption on buffer input slew. However, when handling more general cases without input slew assumptions, it becomes slow despite the significant buffer savings. In this paper, a fast buffering technique is proposed to handle the general slew buffering problem. Instead of building solutions from scratch, the new technique efficiently optimizes buffering solutions obtained with the fixed input slew assumption. Experiments on industrial nets demonstrate that our algorithm is highly efficient. Compared to the commonly used van Ginneken style buffering, up to 49 × speed up is obtained and often 10% buffer area is saved. Compared to the algorithm without input slew assumption proposed in [S. Hu, C. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, C.-N. Sze, Fast algorithms for slew constrained minimum cost buffering, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 26 (11) (2007) 2009-2022], up to 37 × speedup can be obtained with slight sacrifice in solution quality. © 2009 Elsevier Ltd. All rights reserved.-
dc.languageeng-
dc.relation.ispartofMicroelectronics Journal-
dc.subjectBuffer insertion-
dc.subjectInterconnect optimization-
dc.subjectNon-fixed input slew-
dc.subjectPhysical design-
dc.subjectSlew constraint-
dc.titleA fast general slew constrained minimum cost buffering algorithm-
dc.typeArticle-
dc.description.naturelink_to_subscribed_fulltext-
dc.identifier.doi10.1016/j.mejo.2009.08.003-
dc.identifier.scopuseid_2-s2.0-70049115523-
dc.identifier.volume40-
dc.identifier.issue10-
dc.identifier.spage1482-
dc.identifier.epage1486-
dc.identifier.isiWOS:000271368400008-

Export via OAI-PMH Interface in XML Formats


OR


Export to Other Non-XML Formats