File Download

There are no files associated with this item.

  Links for fulltext
     (May Require Subscription)
Supplementary

Conference Paper: Steiner network construction for timing critical nets

TitleSteiner network construction for timing critical nets
Authors
KeywordsInterconnect
Redundancy
Routing
Steiner network
Issue Date2006
Citation
Proceedings - Design Automation Conference, 2006, p. 379-384 How to Cite?
AbstractConventionally, signal net routing is almost always implemented asSteiner trees. However, non-tree topology is often superior on timing performance as well as tolerance to open faults and variations. These advantages are particularly appealing for timing critical net routings in nano-scale VLSI designs where interconnect delay is a performance bottleneck and variation effects are increasingly remarkable. We propose Steiner network construction heuristics which can generate either tree or non-tree with different slack-wirelength tradeoff, and handle both long path and short path constraints. Incremental non-tree delay update techniques are developed to facilitate fast Steiner network evaluations. Extensive experiments in different scenarios show that our heuristics usually improve timing slack by hundreds of pico seconds compared to traditional tree approaches. Copyright 2006 ACM.
Persistent Identifierhttp://hdl.handle.net/10722/336034
ISSN
2020 SCImago Journal Rankings: 0.518

 

DC FieldValueLanguage
dc.contributor.authorHu, Shiyan-
dc.contributor.authorLi, Qiuyang-
dc.contributor.authorHu, Jiang-
dc.contributor.authorLi, Peng-
dc.date.accessioned2024-01-15T08:22:12Z-
dc.date.available2024-01-15T08:22:12Z-
dc.date.issued2006-
dc.identifier.citationProceedings - Design Automation Conference, 2006, p. 379-384-
dc.identifier.issn0738-100X-
dc.identifier.urihttp://hdl.handle.net/10722/336034-
dc.description.abstractConventionally, signal net routing is almost always implemented asSteiner trees. However, non-tree topology is often superior on timing performance as well as tolerance to open faults and variations. These advantages are particularly appealing for timing critical net routings in nano-scale VLSI designs where interconnect delay is a performance bottleneck and variation effects are increasingly remarkable. We propose Steiner network construction heuristics which can generate either tree or non-tree with different slack-wirelength tradeoff, and handle both long path and short path constraints. Incremental non-tree delay update techniques are developed to facilitate fast Steiner network evaluations. Extensive experiments in different scenarios show that our heuristics usually improve timing slack by hundreds of pico seconds compared to traditional tree approaches. Copyright 2006 ACM.-
dc.languageeng-
dc.relation.ispartofProceedings - Design Automation Conference-
dc.subjectInterconnect-
dc.subjectRedundancy-
dc.subjectRouting-
dc.subjectSteiner network-
dc.titleSteiner network construction for timing critical nets-
dc.typeConference_Paper-
dc.description.naturelink_to_subscribed_fulltext-
dc.identifier.doi10.1145/1146909.1147010-
dc.identifier.scopuseid_2-s2.0-34547198038-
dc.identifier.spage379-
dc.identifier.epage384-

Export via OAI-PMH Interface in XML Formats


OR


Export to Other Non-XML Formats