File Download

There are no files associated with this item.

  Links for fulltext
     (May Require Subscription)
Supplementary

Article: Fabrication and Electrical Characterization of High Aspect Ratio Through-Silicon Vias with Polyimide Liner for 3D Integration

TitleFabrication and Electrical Characterization of High Aspect Ratio Through-Silicon Vias with Polyimide Liner for 3D Integration
Authors
KeywordsCu seed layer
high aspect ratio (HAR) through-silicon vias (TSVs)
polyimide (PI) liner
redistribution layers (RDLs)
S-parameters
three-dimensional (3D) integration
Issue Date2022
Citation
Micromachines, 2022, v. 13, n. 7, article no. 1147 How to Cite?
AbstractHigh aspect ratio (HAR) through-silicon vias (TSVs) are in urgent need to achieve smaller keep-out zones (KOZs) and higher integration density for the miniaturization of high-performance three-dimensional (3D) integration of integrated circuits (IC), micro-electro-mechanical systems (MEMS), and other devices. In this study, HAR TSVs with a diameter of 11 μm and an aspect ratio of 10:1 are successfully fabricated in a low-cost process flow. Conformal polyimide (PI) liners are deposited using a vacuum-assisted spin coating technique, and the effects of spin coating time and speed on the deposition results are discussed. Then, continuous Cu seed layers are fabricated by sequential sputtering and ultrasound-assisted electroless plating. Additionally, void-free and seamless Cu conductors are formed by electroplating. Moreover, a semi-additive method is used to fabricate the redistribution layers (RDLs) on the insulating layers of photosensitive PI (PSPI). Notably, a plasma bombardment process is introduced to remove residual PSPI in the contact windows between RDLs and central pillars. Results show that the resistance of a single TSV from a daisy chain of 144 TSVs with density of 2000/mm2 is about 28 mΩ. Additionally, the S-parameters of a single TSV are obtained using L-2L de-embedding technology, and the experimental and simulated results agree well. The proposed low-cost fabrication technologies and the related electrical characterization of PI-TSVs are significant for the application of HAR TSVs in modern heterogeneous integration systems.
Persistent Identifierhttp://hdl.handle.net/10722/335420
ISI Accession Number ID

 

DC FieldValueLanguage
dc.contributor.authorChen, Xuyan-
dc.contributor.authorChen, Zhiming-
dc.contributor.authorXiao, Lei-
dc.contributor.authorHao, Yigang-
dc.contributor.authorWang, Han-
dc.contributor.authorDing, Yingtao-
dc.contributor.authorZhang, Ziyue-
dc.date.accessioned2023-11-17T08:25:45Z-
dc.date.available2023-11-17T08:25:45Z-
dc.date.issued2022-
dc.identifier.citationMicromachines, 2022, v. 13, n. 7, article no. 1147-
dc.identifier.urihttp://hdl.handle.net/10722/335420-
dc.description.abstractHigh aspect ratio (HAR) through-silicon vias (TSVs) are in urgent need to achieve smaller keep-out zones (KOZs) and higher integration density for the miniaturization of high-performance three-dimensional (3D) integration of integrated circuits (IC), micro-electro-mechanical systems (MEMS), and other devices. In this study, HAR TSVs with a diameter of 11 μm and an aspect ratio of 10:1 are successfully fabricated in a low-cost process flow. Conformal polyimide (PI) liners are deposited using a vacuum-assisted spin coating technique, and the effects of spin coating time and speed on the deposition results are discussed. Then, continuous Cu seed layers are fabricated by sequential sputtering and ultrasound-assisted electroless plating. Additionally, void-free and seamless Cu conductors are formed by electroplating. Moreover, a semi-additive method is used to fabricate the redistribution layers (RDLs) on the insulating layers of photosensitive PI (PSPI). Notably, a plasma bombardment process is introduced to remove residual PSPI in the contact windows between RDLs and central pillars. Results show that the resistance of a single TSV from a daisy chain of 144 TSVs with density of 2000/mm2 is about 28 mΩ. Additionally, the S-parameters of a single TSV are obtained using L-2L de-embedding technology, and the experimental and simulated results agree well. The proposed low-cost fabrication technologies and the related electrical characterization of PI-TSVs are significant for the application of HAR TSVs in modern heterogeneous integration systems.-
dc.languageeng-
dc.relation.ispartofMicromachines-
dc.subjectCu seed layer-
dc.subjecthigh aspect ratio (HAR) through-silicon vias (TSVs)-
dc.subjectpolyimide (PI) liner-
dc.subjectredistribution layers (RDLs)-
dc.subjectS-parameters-
dc.subjectthree-dimensional (3D) integration-
dc.titleFabrication and Electrical Characterization of High Aspect Ratio Through-Silicon Vias with Polyimide Liner for 3D Integration-
dc.typeArticle-
dc.description.naturelink_to_subscribed_fulltext-
dc.identifier.doi10.3390/mi13071147-
dc.identifier.scopuseid_2-s2.0-85137163828-
dc.identifier.volume13-
dc.identifier.issue7-
dc.identifier.spagearticle no. 1147-
dc.identifier.epagearticle no. 1147-
dc.identifier.eissn2072-666X-
dc.identifier.isiWOS:000831533200001-

Export via OAI-PMH Interface in XML Formats


OR


Export to Other Non-XML Formats