File Download
  Links for fulltext
     (May Require Subscription)
Supplementary

Conference Paper: Rhymes: a shared virtual memory system for non-coherent tiled many-core architectures

TitleRhymes: a shared virtual memory system for non-coherent tiled many-core architectures
Authors
KeywordsCache coherence
Software managed coherence
Non-coherent many-core architectures
Issue Date2014
PublisherInstitute of Electrical and Electronics Engineers. The Journal's web site is located at http://ieeexplore.ieee.org/xpl/conhome.jsp?punumber=1000534
Citation
The 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS 2014), Hsinchu, Taiwan, 16-19 December 2014. In International Conference on Parallel and Distributed Systems Proceedings, 2014, p. 1-8 How to Cite?
AbstractThe rising core count per processor is pushing chip complexity to a level that hardware-based cache coherency protocols become too hard and costly to scale someday. We need new designs of many-core hardware and software other than traditional technologies to keep up with the ever-increasing scalability demands. A cluster-on-chip architecture, as exemplified by the Intel Single-chip Cloud Computer (SCC), promotes a software-oriented approach instead of hardware support to implementing shared memory coherence. This paper presents a shared virtual memory (SVM) system, dubbed Rhymes, tailored to new processor kinds of non-coherent and hybrid memory architectures. Rhymes features a two-way cache coherence protocol to enforce release consistency for pages allocated in shared physical memory (SPM) and scope consistency for pages in percore private memory. It also supports page remapping on a percore basis to boost data locality. We implement and test Rhymes on the SCC port of the Barrelfish OS. Experimental results show that our SVM outperforms the pure SPM approach used by Intel's software managed coherence (SMC) library by up to 12 times through improved cache utilization for applications with strong data reuse patterns.
Persistent Identifierhttp://hdl.handle.net/10722/203645
ISSN
2023 SCImago Journal Rankings: 0.397

 

DC FieldValueLanguage
dc.contributor.authorLam, KTen_US
dc.contributor.authorShi, Jen_US
dc.contributor.authorHung, DCHen_US
dc.contributor.authorWang, CL-
dc.contributor.authorLai, Z-
dc.contributor.authorZhu, W-
dc.contributor.authorYan, Y-
dc.date.accessioned2014-09-19T15:49:09Z-
dc.date.available2014-09-19T15:49:09Z-
dc.date.issued2014en_US
dc.identifier.citationThe 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS 2014), Hsinchu, Taiwan, 16-19 December 2014. In International Conference on Parallel and Distributed Systems Proceedings, 2014, p. 1-8en_US
dc.identifier.issn1521-9097-
dc.identifier.urihttp://hdl.handle.net/10722/203645-
dc.description.abstractThe rising core count per processor is pushing chip complexity to a level that hardware-based cache coherency protocols become too hard and costly to scale someday. We need new designs of many-core hardware and software other than traditional technologies to keep up with the ever-increasing scalability demands. A cluster-on-chip architecture, as exemplified by the Intel Single-chip Cloud Computer (SCC), promotes a software-oriented approach instead of hardware support to implementing shared memory coherence. This paper presents a shared virtual memory (SVM) system, dubbed Rhymes, tailored to new processor kinds of non-coherent and hybrid memory architectures. Rhymes features a two-way cache coherence protocol to enforce release consistency for pages allocated in shared physical memory (SPM) and scope consistency for pages in percore private memory. It also supports page remapping on a percore basis to boost data locality. We implement and test Rhymes on the SCC port of the Barrelfish OS. Experimental results show that our SVM outperforms the pure SPM approach used by Intel's software managed coherence (SMC) library by up to 12 times through improved cache utilization for applications with strong data reuse patterns.en_US
dc.languageengen_US
dc.publisherInstitute of Electrical and Electronics Engineers. The Journal's web site is located at http://ieeexplore.ieee.org/xpl/conhome.jsp?punumber=1000534en_US
dc.relation.ispartofInternational Conference on Parallel and Distributed Systems Proceedingsen_US
dc.subjectCache coherence-
dc.subjectSoftware managed coherence-
dc.subjectNon-coherent many-core architectures-
dc.titleRhymes: a shared virtual memory system for non-coherent tiled many-core architecturesen_US
dc.typeConference_Paperen_US
dc.identifier.emailLam, KT: kingtin@hku.hken_US
dc.identifier.emailWang, CL: clwang@cs.hku.hken_US
dc.identifier.authorityWang, CL=rp00183en_US
dc.description.naturelink_to_subscribed_fulltext-
dc.identifier.doi10.1109/PADSW.2014.7097807-
dc.identifier.scopuseid_2-s2.0-84988299791-
dc.identifier.hkuros239050en_US
dc.identifier.spage1-
dc.identifier.epage8-
dc.publisher.placeUnited States-
dc.customcontrol.immutablesml 141120-
dc.identifier.issnl1521-9097-

Export via OAI-PMH Interface in XML Formats


OR


Export to Other Non-XML Formats